IEN - Micro/Nano Fabrication Facility
5.2.1 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) is a process by which thin films of various materials, such as silicon dioxide (SiO2), silicon nitride (SixNy), etc., can be deposited on substrates at lower temperature assisted with plasma energy. There are four PECVD equipment inside IEN cleanroom: Oxford ICP. STS2, STS3, and Unaxis PECVD. Choosing an appropriate tool for your process depends on several facts, like deposition rate, uniformity, refractive index, residual stress, processing temperature, wafer and batch size, in addition to tool availability. The following tables list a comparison of different processing parameters for various tools.

Unaxis PECVD

This is an open lid system with a chamber and large (11”) platen size, so it is very flexible for handling various sizes of wafers. Normally it can process up to four 4” wafers in a single run. Pieces can also be directly placed on the platen. The high deposit rate (>40 nm/min for SiO2) with a good uniformity across the wafer can be achievable. A relatively good refractive index, with a reasonable residual stress and pinhole, is for silicon dioxide process, which makes it appropriate for most of masking and insulation applications. Relatively low residual stress can be achieved for silicon nitride film. Please look at the equipment selection table here for PECVD deposition, for more information.

STS PECVD

STS PECVD 3 has two carousels in load lock. Pieces can be placed on a four inch aluminum sample holder for deposition. The film quality is good and can be used for masking layers for dry etching processes, and for dielectric/device layers. The deposition rate for SiO2 deposition is ~35 nm/min. These systems produce high stress films, compared with Oxford ICP PECVD. The deposition is very uniform across the wafer, can be very repeatable on a batch of wafers. Please look at the equipment selection table here for PECVD deposition, for more information.

OXFORD ICP PECVD

This is a load lock system, with one carousel in the load lock. Pieces can be placed on a four inch wafer holder for deposition. With the assistant of ICP, silicon dioxide or nitride film can be deposited at lower temperature. Normal process temperature is 100 C, but can be done at room temperature. Normal SiO2 deposition rate is ~20 nm/min. As the low temperature, the film quality is normally poorer than other PECVD. Also silicon nitride is an ammonia free process. Please look at the equipment selection table here for PECVD deposition, for more information.


List of PECVD tools for Deposition Processes

 

 

Contact Information
The Institute for Electronics and Nanotechnology at Georgia Tech
345 Ferst Drive, Atlanta GA, 30332

For process support please click below